Xilinx Vivado 2020.1里面AXI Interrupt Controller无法选择中断的个数
发布日期:2021-06-29 10:17:25 浏览次数:2 分类:技术文章

本文共 288 字,大约阅读时间需要 1 分钟。

虽然看起来AXI Interrupt Controller的intr[0:0]位宽无法修改,但实际上,添加一个Concat IP,这个IP可以设置In0的个数,设置为2。In0连接上中断线后,再把dout和intr相连。Generate Block Design一下,回来再看,就会发现intr已经自动变成[1:0]了。

注意:仅仅添加Concat IP,改一下连线,Vitis是无法识别的,xparameters.h也无法自动更新。要么对Block Design做较大的改动,要么重建Vitis的Platform工程,才能更新xparameters.h里面表示中断数量的宏。

转载地址:https://blog.csdn.net/ZLK1214/article/details/111939010 如侵犯您的版权,请留言回复原文章的地址,我们会给您删除此文章,给您带来不便请您谅解!

上一篇:关于Xilinx Vitis 2020.1里面MicroBlaze软核的sleep函数卡死的问题
下一篇:【教程】Xilinx Vivado/Vitis 2020.1创建最简单的MicroBlaze工程运行Hello World C语言程序(不使用外部DDR3内存),并固化到SPI Flash

发表评论

最新留言

第一次来,支持一个
[***.219.124.196]2024年04月09日 00时50分21秒